Voorbeelden uit 'Digitale Systemen'

Selecteer de gewenste code uit het boek: 

Code 2.1 van pagina 11

De entity van een full-adder

entity fulladder is
  port (
    a, b : in  std_logic;
    ci   : in  std_logic;
    co   : out std_logic;
    s    : out std_logic
  );
end entity fulladder;